termometro em vhdl

2438 palavras 10 páginas
1

Projeto de um Controlador Digital de Temperatura Baseado na Linguagem VHDL e Dispositivo FPGA
Lucas de Barros Lima Bicudo 1, Ricardo Caneloi dos Santos1
1

CECS, Universidade Federal do ABC
Av. dos Estados, 5001, Santo André, SP
Este trabalho descreve as etapas do desenvolvimento de um controlador digital de temperatura baseado na linguagem de descrição de hardware VHDL (Very High Speed Integrated Circuits Hardware Description Language) com implementação em um FPGA (Field
Programmable Gate Array). Será descrito inicialmente como o problema foi abordado, que tipo de ferramentas foram utilizadas para desenvolver o projeto e quais foram as etapas estabelecidas. Num segundo momento, são analisadas as simulações e o funcionamento
…exibir mais conteúdo…

O controlador de temperatura deve se comportar segundo algumas regras prédefinidas, que constituem as especificações do projeto:
- O sistema registra os últimos 8 valores enviados pelo
ADC (Conversor Analógico Digital) e calcula a média aritmética destes valores, visando atenuar possíveis ruídos.
- Três valores limite (valores de referência) podem ser definidos pelo usuário do sistema. Um alerta (saída que passa para o estado ativo) é emitido toda vez que a temperatura monitorada ultrapassa um valor limite (referência).
- O 'alerta' é emitido por meio de um indicador luminoso, presente na plataforma de desenvolvimento UP3. Cabe ressaltar que essa saída ativa, na forma de indicação luminosa, representa a atuação do controlador em um equipamento de maior porte, como, por exemplo, um ventilador ou uma bomba hidráulica. 2
- Os valores limite (valores de referência) são definidos por meio de 4 botões: O botão 1 muda o valor de referência ativo
(que pode ser modificado); O botão 2 incrementa o valor de referência ativo; O botão 3 decrementa o

Relacionados